diff --git a/LineFollower.cr.mti b/LineFollower.cr.mti new file mode 100644 index 0000000..6b3cae3 --- /dev/null +++ b/LineFollower.cr.mti @@ -0,0 +1,60 @@ +/home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv +QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019 +-- Compiling module blinking_led + +Top level modules: + blinking_led + +} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv +QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019 +-- Compiling module inputbuffer + +Top level modules: + inputbuffer + +} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv {0 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv +QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019 +-- Compiling module motorcontrol +** Error: /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv(9): (vlog-13003) Enum member 'motor_ccw' has value that is outside the representable range of the enum. + +} {4.0 5.0} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv +QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019 +-- Compiling module robot + +Top level modules: + robot + +} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv +QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019 +-- Compiling module timebase_tb + +Top level modules: + timebase_tb + +} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led_tb.sv +QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019 +-- Compiling module blinking_led_tb + +Top level modules: + blinking_led_tb + +} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv +QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019 +-- Compiling module motorcontrol_tb + +Top level modules: + motorcontrol_tb + +} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv +QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019 +-- Compiling module robot_tb + +Top level modules: + robot_tb + +} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv {0 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv +QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019 +-- Compiling module controller +** Error: (vlog-13069) /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv(9): near "?": syntax error, unexpected '?'. + +} {4.0 5.0} {}} diff --git a/LineFollower.mpf b/LineFollower.mpf index 133b75f..b2bc0f1 100644 --- a/LineFollower.mpf +++ b/LineFollower.mpf @@ -834,7 +834,7 @@ Resolution = ns UserTimeUnit = default ; Default run length -RunLength = 100 +RunLength = 1 ms ; Maximum iterations that can be run without advancing simulation time IterationLimit = 10000000 @@ -2109,26 +2109,26 @@ Project_Version = 6 Project_DefaultLib = work Project_SortMethod = unused Project_Files_Count = 10 -Project_File_0 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv -Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 9 cover_expr 0 dont_compile 0 cover_stmt 0 -Project_File_1 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv -Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 8 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_0 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv +Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1739890971 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_1 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv +Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1700473387 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 6 cover_expr 0 dont_compile 0 cover_stmt 0 Project_File_2 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv -Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 7 cover_expr 0 dont_compile 0 cover_stmt 0 -Project_File_3 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv -Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 6 cover_expr 0 dont_compile 0 cover_stmt 0 -Project_File_4 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv -Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 1 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0 -Project_File_5 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv -Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 1 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 4 dont_compile 0 cover_expr 0 cover_stmt 0 -Project_File_6 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv -Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 1 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 3 dont_compile 0 cover_expr 0 cover_stmt 0 -Project_File_7 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv -Project_File_P_7 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 1 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 2 dont_compile 0 cover_expr 0 cover_stmt 0 -Project_File_8 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led_tb.sv -Project_File_P_8 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 1 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 1 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 7 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_3 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv +Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1700473424 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 8 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_4 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv +Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1739989469 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 9 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_5 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led_tb.sv +Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1739890973 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 1 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_6 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv +Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1739890968 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 2 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_7 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv +Project_File_P_7 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1739890970 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 3 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_8 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv +Project_File_P_8 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1739989146 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 4 cover_expr 0 dont_compile 0 cover_stmt 0 Project_File_9 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv -Project_File_P_9 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 5 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_P_9 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 5 cover_expr 0 dont_compile 0 cover_stmt 0 Project_Sim_Count = 0 Project_Folder_Count = 0 Echo_Compile_Output = 0 diff --git a/entities/motorcontrol.sv b/entities/motorcontrol.sv index 5b5e55e..139b854 100644 --- a/entities/motorcontrol.sv +++ b/entities/motorcontrol.sv @@ -1,10 +1,52 @@ + module motorcontrol (input logic clk, input logic reset, input logic direction, - input logic [?:0] count_in, + input logic [16:0] count_in, output logic pwm); + typedef enum logic { motor_off, motor_cw, motor_ccw } motor_controller_state; + motor_controller_state state,next_state; + + always_ff @(posedge clk) + if (reset) + state <= motor_off; + else + state <= next_state; + + always_comb //state logic + begin + case(state) + motor_off: + begin + if(direction ==? 0) + next_state = motor_ccw; + else + next_state = motor_cw; + end + motor_ccw: + begin + if(direction ==? 0) + next_state = motor_ccw; + else + next_state = motor_cw; + end + motor_cw: + begin + if(direction ==? 0) + next_state = motor_ccw; + else + next_state = motor_cw; + end + endcase + end + + always_ff @(count_in) + begin + + + end endmodule diff --git a/entities/timebase.sv b/entities/timebase.sv index d04bc37..3c2af86 100644 --- a/entities/timebase.sv +++ b/entities/timebase.sv @@ -1,8 +1,21 @@ module timebase (input logic clk, input logic reset, - output logic [?:0] count); - + output logic [20:0] count); + logic [20:0] next_count; + always_ff @(posedge clk) + begin + if(reset) + count <= 0; + else + count <= next_count; + end + always_comb begin + if(count==?2000000) + next_count=0; + else + next_count=count+1; + end endmodule diff --git a/entities/timebase.sv.old b/entities/timebase.sv.old new file mode 100644 index 0000000..297cdc4 --- /dev/null +++ b/entities/timebase.sv.old @@ -0,0 +1,34 @@ +module timebase + (input logic clk, + input logic reset, + output logic [4:0] count); + logic [16:0] internal_count,next_internal_count; + logic [4:0] next_count; + always_ff @(posedge clk) begin + if(reset)begin + count <=0; + internal_count <=0 ; + end + else begin + count <= next_count; + internal_count <= next_internal_count; + end + end + + always_comb + begin + if(internal_count ==? 100000) begin + next_internal_count=0; + if(count ==? 19) + next_count = 0; + else + next_count = count+1; + end + else begin + next_internal_count = internal_count+1; + next_count=count; + end + + end + +endmodule diff --git a/tcl_stacktrace.txt b/tcl_stacktrace.txt new file mode 100644 index 0000000..7e5e0b3 --- /dev/null +++ b/tcl_stacktrace.txt @@ -0,0 +1,19 @@ +Wed Feb 19 19:10:26 CET 2025 +Trace back: can't read "tbX(tbf18)": no such element in array + while executing +"lappend orderx($tbX($tb)) $tb" + (object "::.dockbar" method "::mtiwidgets::Dockbar::redoPack" body line 34) + invoked from within +"redoPack {Configure Event}" + ("eval" body line 1) + invoked from within +"eval $args" + (object "::.dockbar" method "::mtiwidgets::Dockbar::_delayedEval" body line 3) + invoked from within +"::.dockbar _delayedEval redoPack {Configure Event}" + (in namespace inscope "::mtiwidgets::Dockbar" script line 1) + invoked from within +"namespace inscope ::mtiwidgets::Dockbar ::.dockbar _delayedEval redoPack {Configure Event}" + ("after" script) + <2:/home/nano/questaSim/linux_x86_64/tk8.6/bgerror.tcl:106: ::tkerror {can't read "tbX(tbf18)": no such element in array} + <1:eval:1: ::tk::dialog::error::bgerror {can't read "tbX(tbf18)": no such element in array} diff --git a/timebase_tb.sv b/timebase_tb.sv index 2243c55..17f5892 100644 --- a/timebase_tb.sv +++ b/timebase_tb.sv @@ -1,4 +1,3 @@ - `timescale 1ns/1ps module timebase_tb(); diff --git a/transcript b/transcript new file mode 100644 index 0000000..e69de29 diff --git a/vsim.wlf b/vsim.wlf new file mode 100644 index 0000000..0b53f1f Binary files /dev/null and b/vsim.wlf differ diff --git a/vsim_stacktrace.vstf b/vsim_stacktrace.vstf new file mode 100644 index 0000000..bc1877a --- /dev/null +++ b/vsim_stacktrace.vstf @@ -0,0 +1,25 @@ +# Current time Wed Feb 19 18:54:44 2025 +# Program = vsim +# Id = "10.6g" +# Version = "2019.08" +# Date = "Aug 2 2019" +# Platform = "linux_x86_64" +# Signature = 94b58c929e6acf1df9316e0331d0248a +# 0 0x000000000116b520: '' +# 1 0x0000000000a8af2e: '' +# 2 0x0000000000a8b12c: '' +# 3 0x0000000000a91176: '' +# 4 0x000000000120905d: '' +# 5 0x000000000120d4b6: '' +# 6 0x000000000120eba1: '' +# 7 0x000000000120ef06: '' +# 8 0x0000000000e8c268: '' +# 9 0x00000000012aee0f: '' +# 10 0x0000000001302977: '' +# 11 0x00000000012c5877: '' +# 12 0x00000000012c5b59: '' +# 13 0x000000000111eae6: '' +# 14 0x0000000000a57d76: '' +# End of Stack Trace + + diff --git a/work/@_opt/_lib.qdb b/work/@_opt/_lib.qdb new file mode 100644 index 0000000..832a39e Binary files /dev/null and b/work/@_opt/_lib.qdb differ diff --git a/work/@_opt/_lib1_0.qdb b/work/@_opt/_lib1_0.qdb new file mode 100644 index 0000000..76d6a28 Binary files /dev/null and b/work/@_opt/_lib1_0.qdb differ diff --git a/work/@_opt/_lib1_0.qpg b/work/@_opt/_lib1_0.qpg new file mode 100644 index 0000000..e69de29 diff --git a/work/@_opt/_lib1_0.qtl b/work/@_opt/_lib1_0.qtl new file mode 100644 index 0000000..ea04eb2 Binary files /dev/null and b/work/@_opt/_lib1_0.qtl differ diff --git a/work/@_opt/_lib2_0.qdb b/work/@_opt/_lib2_0.qdb new file mode 100644 index 0000000..23eb6d7 Binary files /dev/null and b/work/@_opt/_lib2_0.qdb differ diff --git a/work/@_opt/_lib2_0.qpg b/work/@_opt/_lib2_0.qpg new file mode 100644 index 0000000..e69de29 diff --git a/work/@_opt/_lib2_0.qtl b/work/@_opt/_lib2_0.qtl new file mode 100644 index 0000000..7eaec41 Binary files /dev/null and b/work/@_opt/_lib2_0.qtl differ diff --git a/work/@_opt/_lib3_0.qdb b/work/@_opt/_lib3_0.qdb new file mode 100644 index 0000000..f08262f Binary files /dev/null and b/work/@_opt/_lib3_0.qdb differ diff --git a/work/@_opt/_lib3_0.qpg b/work/@_opt/_lib3_0.qpg new file mode 100644 index 0000000..e69de29 diff --git a/work/@_opt/_lib3_0.qtl b/work/@_opt/_lib3_0.qtl new file mode 100644 index 0000000..a179d9b Binary files /dev/null and b/work/@_opt/_lib3_0.qtl differ diff --git a/work/@_opt/_lib4_0.qdb b/work/@_opt/_lib4_0.qdb new file mode 100644 index 0000000..3c4cfe8 Binary files /dev/null and b/work/@_opt/_lib4_0.qdb differ diff --git a/work/@_opt/_lib4_0.qpg b/work/@_opt/_lib4_0.qpg new file mode 100644 index 0000000..c2beebd Binary files /dev/null and b/work/@_opt/_lib4_0.qpg differ diff --git a/work/@_opt/_lib4_0.qtl b/work/@_opt/_lib4_0.qtl new file mode 100644 index 0000000..c214784 Binary files /dev/null and b/work/@_opt/_lib4_0.qtl differ diff --git a/work/_info b/work/_info index 5693a26..8df7b72 100644 --- a/work/_info +++ b/work/_info @@ -7,4 +7,206 @@ z2 !i10e 25 !i10f 100 cModel Technology -d/home/nano/Documents/EE/DS-B/randomVerilog +Z0 d/home/nano/Documents/EE/DS-B/randomVerilog +T_opt +!s110 1739987669 +ViC[Km^Km;LJi>K:R15=cN1 +04 11 4 work timebase_tb fast 0 +=1-000ae431a4f1-67b61ad5-96700-1d978 +o-quiet -auto_acc_if_foreign -work work +acc +Z1 tCvgOpt 0 +n@_opt +OL;O;10.6g;65 +R0 +vblinking_led +Z2 DXx6 sv_std 3 std 0 22 AD7iAPLo6nTIKkOCdD=f33 +IR^J9km[@a77RRWkS:_SJk2 +R4 +!s105 motorcontrol_tb_sv_unit +S1 +R5 +w1739890968 +8/home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv +F/home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv +L0 3 +R6 +r1 +!s85 0 +31 +R7 +!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv| +!i113 0 +R8 +R1 +vrobot +R2 +R3 +!i10b 1 +!s100 cQL[Qz1a:2n@ezn[M9FoF2 +I05ea<`H7SIdzN4Z]fB>B]0 +R4 +!s105 robot_sv_unit +S1 +R5 +w1700473424 +8/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv +F/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv +L0 1 +R6 +r1 +!s85 0 +31 +R7 +!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv| +!i113 0 +R8 +R1 +vrobot_tb +R2 +R3 +!i10b 1 +!s100 NZM8Q:n0DD=[0kz35fN@^2 +IXL_`E`R4o6WPXd3n0Z1Fc3 +R4 +!s105 robot_tb_sv_unit +S1 +R5 +w1739890970 +8/home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv +F/home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv +L0 3 +R6 +r1 +!s85 0 +31 +R7 +!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv| +!i113 0 +R8 +R1 +vtimebase +R2 +!s110 1739989478 +R4 +r1 +!s85 0 +31 +!i10b 1 +!s100 g?zcMEVfX@M7fPgCD8V`eeBk_^1 +!s105 timebase_tb_sv_unit +S1 +R5 +w1739989146 +8/home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv +F/home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv +L0 3 +R6 +!s108 1739989480.000000 +!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv| +!i113 0 +R8 +R1 diff --git a/work/_lib.qdb b/work/_lib.qdb new file mode 100644 index 0000000..c51f926 Binary files /dev/null and b/work/_lib.qdb differ diff --git a/work/_lib1_1.qdb b/work/_lib1_1.qdb new file mode 100644 index 0000000..752dcc8 Binary files /dev/null and b/work/_lib1_1.qdb differ diff --git a/work/_lib1_1.qpg b/work/_lib1_1.qpg new file mode 100644 index 0000000..cec8a43 Binary files /dev/null and b/work/_lib1_1.qpg differ diff --git a/work/_lib1_1.qtl b/work/_lib1_1.qtl new file mode 100644 index 0000000..7fbc0d6 Binary files /dev/null and b/work/_lib1_1.qtl differ diff --git a/work/_vmake b/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology