done
This commit is contained in:
parent
c681f53895
commit
4f0563da8c
@ -1,59 +1,10 @@
|
|||||||
/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv
|
/home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv
|
||||||
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
|
||||||
-- Compiling module timebase
|
|
||||||
|
|
||||||
Top level modules:
|
|
||||||
timebase
|
|
||||||
|
|
||||||
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv
|
|
||||||
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
|
||||||
-- Compiling module robot
|
|
||||||
|
|
||||||
Top level modules:
|
|
||||||
robot
|
|
||||||
|
|
||||||
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv
|
|
||||||
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
|
||||||
-- Compiling module motorcontrol
|
|
||||||
|
|
||||||
Top level modules:
|
|
||||||
motorcontrol
|
|
||||||
|
|
||||||
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv
|
|
||||||
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
|
||||||
-- Compiling module inputbuffer
|
|
||||||
|
|
||||||
Top level modules:
|
|
||||||
inputbuffer
|
|
||||||
|
|
||||||
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv
|
|
||||||
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
||||||
-- Compiling module blinking_led
|
-- Compiling module blinking_led
|
||||||
|
|
||||||
Top level modules:
|
Top level modules:
|
||||||
blinking_led
|
blinking_led
|
||||||
|
|
||||||
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv
|
|
||||||
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
|
||||||
-- Compiling module timebase_tb
|
|
||||||
|
|
||||||
Top level modules:
|
|
||||||
timebase_tb
|
|
||||||
|
|
||||||
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led_tb.sv
|
|
||||||
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
|
||||||
-- Compiling module blinking_led_tb
|
|
||||||
|
|
||||||
Top level modules:
|
|
||||||
blinking_led_tb
|
|
||||||
|
|
||||||
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv
|
|
||||||
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
|
||||||
-- Compiling module motorcontrol_tb
|
|
||||||
|
|
||||||
Top level modules:
|
|
||||||
motorcontrol_tb
|
|
||||||
|
|
||||||
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv
|
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv
|
||||||
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
||||||
-- Compiling module robot_tb
|
-- Compiling module robot_tb
|
||||||
@ -61,11 +12,25 @@ QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
|||||||
Top level modules:
|
Top level modules:
|
||||||
robot_tb
|
robot_tb
|
||||||
|
|
||||||
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv
|
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv
|
||||||
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
||||||
-- Compiling module controller
|
-- Compiling module motorcontrol_tb
|
||||||
|
|
||||||
Top level modules:
|
Top level modules:
|
||||||
controller
|
motorcontrol_tb
|
||||||
|
|
||||||
|
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led_tb.sv
|
||||||
|
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
||||||
|
-- Compiling module blinking_led_tb
|
||||||
|
|
||||||
|
Top level modules:
|
||||||
|
blinking_led_tb
|
||||||
|
|
||||||
|
} {} {}} /home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv {1 {vlog -work work -vopt -sv -stats=none /home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv
|
||||||
|
QuestaSim-64 vlog 10.6g Compiler 2019.08 Aug 2 2019
|
||||||
|
-- Compiling module timebase_tb
|
||||||
|
|
||||||
|
Top level modules:
|
||||||
|
timebase_tb
|
||||||
|
|
||||||
} {} {}}
|
} {} {}}
|
||||||
|
|||||||
@ -2109,26 +2109,26 @@ Project_Version = 6
|
|||||||
Project_DefaultLib = work
|
Project_DefaultLib = work
|
||||||
Project_SortMethod = unused
|
Project_SortMethod = unused
|
||||||
Project_Files_Count = 10
|
Project_Files_Count = 10
|
||||||
Project_File_0 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv
|
Project_File_0 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv
|
||||||
Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1739890971 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0
|
Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1740057308 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 9 dont_compile 0 cover_expr 0 cover_stmt 0
|
||||||
Project_File_1 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv
|
Project_File_1 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv
|
||||||
Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1739998639 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 6 cover_expr 0 dont_compile 0 cover_stmt 0
|
Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1740008019 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 8 dont_compile 0 cover_expr 0 cover_stmt 0
|
||||||
Project_File_2 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv
|
Project_File_2 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv
|
||||||
Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1739999897 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 7 dont_compile 0 cover_expr 0 cover_stmt 0
|
Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1740057090 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 7 cover_expr 0 dont_compile 0 cover_stmt 0
|
||||||
Project_File_3 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv
|
Project_File_3 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv
|
||||||
Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1739998485 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 8 cover_expr 0 dont_compile 0 cover_stmt 0
|
Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1740008019 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 6 dont_compile 0 cover_expr 0 cover_stmt 0
|
||||||
Project_File_4 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv
|
Project_File_4 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv
|
||||||
Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1740002498 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 9 cover_expr 0 dont_compile 0 cover_stmt 0
|
Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1739890971 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0
|
||||||
Project_File_5 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led_tb.sv
|
Project_File_5 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv
|
||||||
Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1739890973 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 1 dont_compile 0 cover_expr 0 cover_stmt 0
|
Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1739989146 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 4 cover_expr 0 dont_compile 0 cover_stmt 0
|
||||||
Project_File_6 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv
|
Project_File_6 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv
|
||||||
Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1739890968 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 2 dont_compile 0 cover_expr 0 cover_stmt 0
|
Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1739890970 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 3 dont_compile 0 cover_expr 0 cover_stmt 0
|
||||||
Project_File_7 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/robot_tb.sv
|
Project_File_7 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/motorcontrol_tb.sv
|
||||||
Project_File_P_7 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1739890970 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 3 dont_compile 0 cover_expr 0 cover_stmt 0
|
Project_File_P_7 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1739890968 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 2 dont_compile 0 cover_expr 0 cover_stmt 0
|
||||||
Project_File_8 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/timebase_tb.sv
|
Project_File_8 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led_tb.sv
|
||||||
Project_File_P_8 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1739989146 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 4 cover_expr 0 dont_compile 0 cover_stmt 0
|
Project_File_P_8 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1739890973 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 1 dont_compile 0 cover_expr 0 cover_stmt 0
|
||||||
Project_File_9 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv
|
Project_File_9 = /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv
|
||||||
Project_File_P_9 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1740007021 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 5 dont_compile 0 cover_expr 0 cover_stmt 0
|
Project_File_P_9 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1740058185 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 5 cover_expr 0 dont_compile 0 cover_stmt 0
|
||||||
Project_Sim_Count = 0
|
Project_Sim_Count = 0
|
||||||
Project_Folder_Count = 0
|
Project_Folder_Count = 0
|
||||||
Echo_Compile_Output = 0
|
Echo_Compile_Output = 0
|
||||||
|
|||||||
@ -22,11 +22,9 @@ module controller
|
|||||||
always_ff @(posedge clk) begin
|
always_ff @(posedge clk) begin
|
||||||
if(reset)begin
|
if(reset)begin
|
||||||
state <= off;
|
state <= off;
|
||||||
count_reset<=1;
|
|
||||||
end
|
end
|
||||||
else begin
|
else begin
|
||||||
state <= next_state;
|
state <= next_state;
|
||||||
count_reset<=0;
|
|
||||||
end
|
end
|
||||||
end
|
end
|
||||||
|
|
||||||
@ -102,5 +100,20 @@ module controller
|
|||||||
end
|
end
|
||||||
endcase
|
endcase
|
||||||
end
|
end
|
||||||
|
|
||||||
|
|
||||||
|
always_comb
|
||||||
|
begin
|
||||||
|
if(!(state==?off))
|
||||||
|
begin
|
||||||
|
if(count_in ==? 2000000)
|
||||||
|
assign count_reset = 1;
|
||||||
|
else
|
||||||
|
assign count_reset = 0;
|
||||||
|
end
|
||||||
|
else begin
|
||||||
|
assign count_reset = 1;
|
||||||
|
end
|
||||||
|
end
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
|||||||
@ -17,36 +17,10 @@ module motorcontrol
|
|||||||
|
|
||||||
always_comb //state logic
|
always_comb //state logic
|
||||||
begin
|
begin
|
||||||
case(state)
|
if(direction ==? 0)
|
||||||
motor_off:
|
next_state = motor_ccw;
|
||||||
begin
|
else
|
||||||
if(direction ==? 0)
|
next_state = motor_cw;
|
||||||
next_state = motor_ccw;
|
|
||||||
else
|
|
||||||
next_state = motor_cw;
|
|
||||||
end
|
|
||||||
motor_ccw:
|
|
||||||
begin
|
|
||||||
if(direction ==? 0)
|
|
||||||
next_state = motor_ccw;
|
|
||||||
else
|
|
||||||
next_state = motor_cw;
|
|
||||||
end
|
|
||||||
motor_cw:
|
|
||||||
begin
|
|
||||||
if(direction ==? 0)
|
|
||||||
next_state = motor_ccw;
|
|
||||||
else
|
|
||||||
next_state = motor_cw;
|
|
||||||
end
|
|
||||||
default:
|
|
||||||
begin
|
|
||||||
if(direction ==? 0)
|
|
||||||
next_state = motor_ccw;
|
|
||||||
else
|
|
||||||
next_state = motor_cw;
|
|
||||||
end
|
|
||||||
endcase
|
|
||||||
end
|
end
|
||||||
|
|
||||||
always_comb //PWM logic
|
always_comb //PWM logic
|
||||||
|
|||||||
@ -13,11 +13,11 @@ module timebase
|
|||||||
count <= next_count;
|
count <= next_count;
|
||||||
end
|
end
|
||||||
|
|
||||||
always_comb begin
|
//always_comb begin
|
||||||
if(count==?2000000)
|
// if(count==?2000000)
|
||||||
next_count=0;
|
// next_count=0;
|
||||||
else
|
// else
|
||||||
next_count=count+1;
|
assign next_count=count+1;
|
||||||
end
|
//end
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|||||||
56
work/_info
56
work/_info
@ -20,7 +20,7 @@ OL;O;10.6g;65
|
|||||||
R0
|
R0
|
||||||
vblinking_led
|
vblinking_led
|
||||||
Z2 DXx6 sv_std 3 std 0 22 AD7iAPLo6nTIKk<N0eo=D3
|
Z2 DXx6 sv_std 3 std 0 22 AD7iAPLo6nTIKk<N0eo=D3
|
||||||
Z3 !s110 1740002551
|
Z3 !s110 1740057690
|
||||||
!i10b 1
|
!i10b 1
|
||||||
!s100 <0lR74YAbCmRiY]YGZE;43
|
!s100 <0lR74YAbCmRiY]YGZE;43
|
||||||
IZ@z6KWGiBO0`b=]<J44fk3
|
IZ@z6KWGiBO0`b=]<J44fk3
|
||||||
@ -36,7 +36,7 @@ Z6 OL;L;10.6g;65
|
|||||||
r1
|
r1
|
||||||
!s85 0
|
!s85 0
|
||||||
31
|
31
|
||||||
Z7 !s108 1740002551.000000
|
Z7 !s108 1740057690.000000
|
||||||
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv|
|
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv|
|
||||||
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv|
|
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/blinking_led.sv|
|
||||||
!i113 0
|
!i113 0
|
||||||
@ -68,23 +68,23 @@ R8
|
|||||||
R1
|
R1
|
||||||
vcontroller
|
vcontroller
|
||||||
R2
|
R2
|
||||||
!s110 1740007184
|
!s110 1740058190
|
||||||
!i10b 1
|
|
||||||
!s100 ^]X2o_jVn;UT4>:A9b]6F2
|
|
||||||
I^9NA0o7ChWbWHjbYfXSX61
|
|
||||||
R4
|
R4
|
||||||
|
r1
|
||||||
|
!s85 0
|
||||||
|
31
|
||||||
|
!i10b 1
|
||||||
|
!s100 >D3X`HM[]>eE>>S[ETH_n3
|
||||||
|
INT8Xch5^2_Q3M9o4dh?^k3
|
||||||
!s105 controller_sv_unit
|
!s105 controller_sv_unit
|
||||||
S1
|
S1
|
||||||
R5
|
R5
|
||||||
w1740007021
|
w1740058185
|
||||||
8/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv
|
8/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv
|
||||||
F/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv
|
F/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv
|
||||||
L0 1
|
L0 1
|
||||||
R6
|
R6
|
||||||
r1
|
!s108 1740058190.000000
|
||||||
!s85 0
|
|
||||||
31
|
|
||||||
!s108 1740007184.000000
|
|
||||||
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv|
|
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv|
|
||||||
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv|
|
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/controller.sv|
|
||||||
!i113 0
|
!i113 0
|
||||||
@ -92,7 +92,7 @@ R8
|
|||||||
R1
|
R1
|
||||||
vinputbuffer
|
vinputbuffer
|
||||||
R2
|
R2
|
||||||
R3
|
Z9 !s110 1740057691
|
||||||
R4
|
R4
|
||||||
r1
|
r1
|
||||||
!s85 0
|
!s85 0
|
||||||
@ -103,12 +103,12 @@ IAoU:mm@RBCI]Rkgn<WH=J3
|
|||||||
!s105 inputbuffer_sv_unit
|
!s105 inputbuffer_sv_unit
|
||||||
S1
|
S1
|
||||||
R5
|
R5
|
||||||
w1739998639
|
Z10 w1740008019
|
||||||
8/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv
|
8/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv
|
||||||
F/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv
|
F/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv
|
||||||
L0 1
|
L0 1
|
||||||
R6
|
R6
|
||||||
R7
|
Z11 !s108 1740057691.000000
|
||||||
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv|
|
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv|
|
||||||
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv|
|
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/inputbuffer.sv|
|
||||||
!i113 0
|
!i113 0
|
||||||
@ -116,23 +116,23 @@ R8
|
|||||||
R1
|
R1
|
||||||
vmotorcontrol
|
vmotorcontrol
|
||||||
R2
|
R2
|
||||||
R3
|
R9
|
||||||
R4
|
R4
|
||||||
r1
|
r1
|
||||||
!s85 0
|
!s85 0
|
||||||
31
|
31
|
||||||
!i10b 1
|
!i10b 1
|
||||||
!s100 aj1>J6Zfkm7^10e=3?=n@0
|
!s100 K8[l6@OcQ9YNX==LlIUOX3
|
||||||
Ib=[@B<AHjcIcYePcPPB7I2
|
IO?fk96X;Y9oaf[e1kUblg2
|
||||||
!s105 motorcontrol_sv_unit
|
!s105 motorcontrol_sv_unit
|
||||||
S1
|
S1
|
||||||
R5
|
R5
|
||||||
w1739999897
|
w1740057090
|
||||||
8/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv
|
8/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv
|
||||||
F/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv
|
F/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv
|
||||||
L0 2
|
L0 2
|
||||||
R6
|
R6
|
||||||
R7
|
R11
|
||||||
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv|
|
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv|
|
||||||
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv|
|
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/motorcontrol.sv|
|
||||||
!i113 0
|
!i113 0
|
||||||
@ -164,7 +164,7 @@ R8
|
|||||||
R1
|
R1
|
||||||
vrobot
|
vrobot
|
||||||
R2
|
R2
|
||||||
R3
|
R9
|
||||||
R4
|
R4
|
||||||
r1
|
r1
|
||||||
!s85 0
|
!s85 0
|
||||||
@ -175,12 +175,12 @@ ITC_l9_PEoDlj5@GNF[iln1
|
|||||||
!s105 robot_sv_unit
|
!s105 robot_sv_unit
|
||||||
S1
|
S1
|
||||||
R5
|
R5
|
||||||
w1739998485
|
R10
|
||||||
8/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv
|
8/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv
|
||||||
F/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv
|
F/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv
|
||||||
L0 1
|
L0 1
|
||||||
R6
|
R6
|
||||||
R7
|
R11
|
||||||
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv|
|
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv|
|
||||||
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv|
|
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/robot.sv|
|
||||||
!i113 0
|
!i113 0
|
||||||
@ -212,23 +212,23 @@ R8
|
|||||||
R1
|
R1
|
||||||
vtimebase
|
vtimebase
|
||||||
R2
|
R2
|
||||||
R3
|
R9
|
||||||
R4
|
R4
|
||||||
r1
|
r1
|
||||||
!s85 0
|
!s85 0
|
||||||
31
|
31
|
||||||
!i10b 1
|
!i10b 1
|
||||||
!s100 Pz`^[M8kl`<`W:HdK5z@n3
|
!s100 M[4BXP_R;98<_<a5jJ8Pn3
|
||||||
IU0@eOeBUBo;dgdeW0=I3n0
|
I]32Z_d:L7GJJ1>Iz^BSQc2
|
||||||
!s105 timebase_sv_unit
|
!s105 timebase_sv_unit
|
||||||
S1
|
S1
|
||||||
R5
|
R5
|
||||||
w1740002498
|
w1740057308
|
||||||
8/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv
|
8/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv
|
||||||
F/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv
|
F/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv
|
||||||
L0 1
|
L0 1
|
||||||
R6
|
R6
|
||||||
R7
|
R11
|
||||||
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv|
|
!s107 /home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv|
|
||||||
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv|
|
!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/home/nano/Documents/EE/DS-B/LineFollower-DSB/entities/timebase.sv|
|
||||||
!i113 0
|
!i113 0
|
||||||
@ -236,7 +236,7 @@ R8
|
|||||||
R1
|
R1
|
||||||
vtimebase_tb
|
vtimebase_tb
|
||||||
R2
|
R2
|
||||||
R3
|
R9
|
||||||
!i10b 1
|
!i10b 1
|
||||||
!s100 ZG5RGR?<h9f]acR@9Tboi2
|
!s100 ZG5RGR?<h9f]acR@9Tboi2
|
||||||
I>VfX@M7fPgCD8V`eeBk_^1
|
I>VfX@M7fPgCD8V`eeBk_^1
|
||||||
|
|||||||
BIN
work/_lib.qdb
BIN
work/_lib.qdb
Binary file not shown.
BIN
work/_lib1_3.qdb
BIN
work/_lib1_3.qdb
Binary file not shown.
BIN
work/_lib1_3.qpg
BIN
work/_lib1_3.qpg
Binary file not shown.
BIN
work/_lib1_3.qtl
BIN
work/_lib1_3.qtl
Binary file not shown.
Loading…
x
Reference in New Issue
Block a user